Микросхема Серия 133

К1ЛП332

   Интереснейший экземпляр!

   Во-первых, этой микросхемы нет практически ни в одном справочнике.
1ЛП331 есть, 1ЛП333 есть, а вторая пропущена!

Это были «половинки»; 1ЛП332 — половина 133ЛД1 (1ЛП331), один 4-входовый расширитель по ИЛИ.
Очень скоро производство их было прекращено, т.к. стало получаться достаточно нормальных, не половинок.
Память от них осталась в виде «дырок» в порядке номеров типов микросхем.

   В-третьих, корпус. Видны рудиментарные боковые выводы. Назначение
неизвестно, то ли пытались втиснуть дополнительные выводы, то ли для крепления к рамке при изготовлении.
Плюс к этому, очень острые грани корпуса, такого тоже не встречал более.

   Мало? Могу добавить и в-четвертых :))). Это (пока) самая ранняя микросхема из
этой серии у меня. Выпуск менее чем через год после окончания разработки…

Микросхема К133ЛА6

Справочник содержания драгоценных металлов в радиодеталях основан на справочных данных различных организаций, занимающихся переработкой лома радиодеталей, паспортах устройств, формулярах и других открытых источников. Стоит отметить, что реальное содержание может отличатся на 20-30% в меньшую сторону.

Какие драгоценные металлы содержатся в микросхемах

Микросхемы могут содержать золото, серебро, платину и МПГ (Металлы платиновой группы, Платиновая группа, Платиновые металлы, Платиноиды, ЭПГ)

Структура обозначения советских микросхем.

Советские (а также российские) микросхемы обозначаются стандартным кодом, согласно ГОСТ РВ 5901-005-2010 (предыдущие – ОСТ 11073915-2000, 11073915-80), состоящим из четырех элементов: Первый элемент состоит из цифры и означает конструктивно-технологическую группу: 1,5,6 – обозначают полупроводниковые ИМС 2,4,8 – обозначают гибридные ИМС 7 – обозначает бескорпусную полупроводниковую ИМС 3 – прочие ИМС

Второй элемент состоит из двух цифр, обозначающих порядковый номер разработки.

Третий элемент содержит две буквы русского алфавита, определяющие функциональное назначение ИМС (см. таблицу ниже).

Четвёртый элемент – порядковый номер одноименных по функциональному признаку ИМС в одной серии. Состоит из одной или двух цифр.

За четвёртым элементом может находиться буква (или цифра через дефис), указывающая деление данного типа ИМС на группы, различные по одному или нескольким параметрам. В первых микросхемах в пластиковых корпусах после четвертого элемента могла ставиться буква “П”.1

Перед полным условным обозначением ИМС, предназначенной для аппаратуры широкого применения, ставится буква “К”. При необходимости указания типа корпуса ИМС после буквы “К” добавляется буква:2 Р – для пластмассовых корпусов типа “2”; М – для керамических, металло-керамических и металло-стеклянных корпусов типа “2”; Е – для металло-полимерного корпуса типа “2”; А – для пластмассового корпуса типа “4”; И – для керамико-стеклянного корпуса типа “4”; Э – экспортный вариант (шаг выводов 2,54 и 1,27 мм); Н – кристаллоноситель.

Примечание. На микросхемах, разработанных до 1974 года, третий элемент (две буквы) стоит сразу после первой цифры серии, при этом буквенные обозначения могут отличаться от принятых по отраслевому стандарту 1980 года.

Причины использования в электронике

Главное, почему в старых устройствах можно найти столько золота, тантала или серебра — их прекрасная электропроводимость. По этому показателю именно драгоценные и полудрагоценные металлы показывают себя лучше других.

Сегодня же, в эпоху упрощения, оптимизации и удешевления производства, многие детали, на которых было напыление из золота, заменяются на другие, не содержащие его. Всё более и более популярна медь, которая является полудрагоценным металлом, но при этом намного более распространённым.

Главные преимущества золота над другими металлами таковы:

  • Большая пластичность. Благодаря этому, из него можно изготовить гораздо более тонкий сердечник для провода или проволоку, соединяющую разные места на микросхеме.
  • Аморфность. Оно очень плохо вступает в реакцию с другими веществами, что делает невозможными такие явления, как окисление, потемнение или изменение характеристик вследствие влияния атмосферы или контактирующих веществ.
  • Прочность. Слой из золота сильнее противостоит негативному механическому воздействию. В результате недостаточного контакта из-за царапин и повреждения напыления не будет.

Нужно заметить, что для покрытия можно использовать и палладий. Он значительно дешевле как материал, но при этом стоимость процесса напыления больше. Стирается он тоже намного медленнее и не подвержен коррозии.

(К)1ЛБ331(Б)

Два логических элемента 4И-НЕ. Первые
выпуски назывались ,
а в дальнейшем она была переименована в 133ЛА1.

Справочный листок и
заводские паспорта, на 1ЛБ331
и К1ЛБ331.

Что касается буквы «Б» — то, как пишет Погорилый «…некоторое
время 133 серия выпускалась с буквенными индексами А и Б (1ЛБ333А и 1ЛБ333Б, например). У «А»
было быстродействие больше, у «Б» несколько меньше.

Микросхемы с пониженной нагрузочной способностью и пониженным быстродействием
(25 и 50 нс вместо 15 и 22), т.е. «полубрак по параметрам», выпускала и фирма TI в начальный
период производства серии 54/74. Так что это обычная ситуация на этапе освоения
производства, пока технология еще не отработана».

Судя по фото, в них могли стоять разные кристаллы! По крайней мере,
если смотреть на расположение контактных площадок на интеграловских кристаллах:

образец 1972 года:

образец 1976 года:

Процесс добычи ценных металлов

Лучше не заниматься этим дома, так как в процессе участвуют вредные для организма вещества. Они могут быть довольно агрессивными, и большая концентрация паров и продуктов распада может негативно повлиять на здоровье человека.

Некоторые технологии добычи уходят своими корнями в очень древние века. Один из них даже лежал в основе добычи из обычного песка золота.

Поэтому такое мероприятие и пользуется популярностью — его легко осуществить даже дома, если обзавестись нужными инструментами и ингредиентами. Итак, основными способами являются:

  1. Вытравливание — основано на химических реакциях между конкретными элементами.
  2. Электролиз — здесь применяется уже симбиоз предыдущего метода и воздействие электрического тока для ускорения протекания реакций. Реагентами при этом выступают совершенно другие вещества.

Более подробное рассмотрение этих двух способов даст лучшее понятие о механизме, по которому они проходят. В первом варианте рассматривается применение высокотоксичных веществ, что может быть опасно для здоровья.

Химическое вытравливание

Иногда для ускорения процесса здесь используется ртуть, чьи пары способны сильно навредить здоровью человека. Это делается для того, чтобы наиболее эффективно связать молекулы драгоценного металла, в первую очередь, золота, и вывести их из всего раствора полностью. Но гораздо более оптимальным вариантом будет использовать агрессивный окислитель под названием «Царская водка» — смесь соляной и азотной кислоты в определённых пропорциях.

Чтобы провести всю последовательность действий, будут нужны:

  • Пластиковая посуда.
  • Термостойкая стеклянная посуда.
  • Фильтр, например, ткань из хлопка.
  • Плитка.
  • Резиновые перчатки.

Можно подготовить и весы, на которых будет видно, сколько добыто материала. Последовательность действий при этом такова:

  1. Царская водка выливается в стеклянную посудину. Туда же складываются микросхемы, содержащие драгметаллы.
  2. Посудина нагревается.
  3. Наливается в пропорции 50 грамм на литр раствор гидрохинона, полученная смесь отстаивается 4 часа.
  4. Золото выпадает в осадок.

Последующим фильтрованием и испарением можно извлечь золотой песок со дна. Потом переплавить его с помощью тигля и любой газовой горелки.

Электролиз радиодеталей

Более сложный и трудоёмкий процесс, но при этом и намного более безопасный. Предполагает наличие мощного аккумулятора и надёжных электродов. Последовательность действий:

  1. В стеклянную посуду наливается серная кислота или уже упомянутая царская водка.
  2. На дно кладутся металлические пластины — катоды.
  3. Опускаются микросхемы.

На пластины подаётся ток, тем более интенсивный, чем быстрее нужно получить результат. После их пожелтения процесс можно считать завершённым. Остаётся только снять верхний слой и точно так же переплавить его в слиток.

ЭА133… ЭКА133…

Экспортная. Паспорт на ЭКА133ЛА8,
к примеру. Эх, кто-то же еще применял 133ю серию в те годы…

1. Справочник по полупроводниковым диодам, транзисторам и интегральным схемам. Под общ. ред. Н. Н. Горюнова. Изд. 3-е, переработ. и доп. М., «Энергия», 1972.
2. . Интегральные логические схемы в цифровых системах, ч.1, Интегральные микросхемы. И.П. Барбаш, А.А. Ларин, Г.Н. Тимонькин. Министерство Обороны, 1973.
3. Майоров С. А. и др. Электронные вычислительные машины (справочник по конструированию). Под ред. С. А. Майорова. —
М., «Сов. радио», 1975г.
4. Пляц О.М. Справочник по электровакуумным, полупроводниковым приборам и интегральным
схемам. — Минск: Вышэйшая школа, 1976
5. Молчанов А.П., Занадворов П.Н. Курс электротехники и радиотехники, изд. 3-е перераб., Главная редакция физико-математической литературы изд-ва Наука, 1976.
6. Каталог интегральных микросхем. Часть 1 (цифровые).
Центральное бюро применения. 1976.
7. Справочник по интегральным микросхемам. Под общ. ред. Б.В.Тарабрина. М., «Энергия», 1977
8. Справочник по полупроводниковым диодам, транзисторам и интегральным схемам. Под общ.
ред. Н. Н. Горюнова. Изд. 4-е, перераб. и доп. М., «Энергия», 1977.
9. Лавриненко В.Ю. Справочник по полупроводниковым приборам. 9-е изд., перераб. К.: Технiка, 1980.
10. Справочник по интегральным микросхемам/ Б.В. Тарабрин, С.В. Якубовский, Н.А. Барканов и др.;
Под ред. Б.В. Тарабрина. — 2-е изд., перераб. и доп. — М.: Энергия, 1981
11. Каталог интегральных микросхем. Том 1. Центральное конструкторское бюро. 1986.
12. Каталог. Цифровые и аналоговые интегральные микросхемы. Часть 2. Условные графические обозначения,
назначения выводов и габаритные чертежи корпусов. — ГУП Центральное конструкторскою бюро «Дейтон», 1998.
13. Нефедов А.В. Интегральные микросхемы и их зарубежные аналоги: Справочник. Т. 1. — М.:Радиософт, 2001
14. Динамика радиоэлектроники/ Под общ. ред. Ю.И. Борисова — М.: Техносфера, 2007.

Задание на курсовую работу по курсу «Схемотехника»

Тема:                                                 Проектирование цифровых устройств с использованием пакета VHDL

Срок представления к защите:               13 мая 2005г.

Задание выдано                                         5
марта 2005г.

Задание принято к исполнению        __________  /студент гр.246 Е.С./

Задание выдал                           __________  /асс. каф. САПР ВС /

Содержание :

Задание………………………………………………………………………………………………………….2

1. Введение…………………………………………………………………………………………………….4

2.  Краткое описание схемы…………………………………………………………………………….5

3. Моделирование элементов схемы……………………………………..…………6

3.1. Описание элемента К133ЛН1……………..
……………………………………………………6

3.2. Описание элемента К133ИЕ5
…………………………………………………………………..8

3.3. Описание элемента К133ТМ2
…………………………………………………………………11

3.4. Описание элемента К133ИД4  ……………………………………………………………14

3.5. Описание элемента К133ЛА3………………………………………………………………….16

3.6. Описание элемента К133ИР13………………………………………………………………..18

3.7. Описание элемента
К514ИД1………………………………………………………………….21

3.8. Описание элемента
К133ЛП5………………………………………………………………….24

4. Моделирование схемы…………………………………………………………..26

5.Заключение………………………………………………………………………………………………..31

Список
литературы……………………………………………………………………………………….32

1. Введение

Современный мир уже нельзя представить без цифровых
устройств. С каждым годом цифровая техника становится все сложнее,
функциональней, производительной, но при этом  габариты микросхем как правило
меньше по сравнению с предыдущими моделями, это достигается благодаря высокой
степени интеграции, когда на небольшом кристалле размещают до 1011
элементов. Поэтому в настоящее время для разработки интегральных микросхем
используют специальные программные продукты, называемые языками описания
аппаратуры (ЯОА). Одним из наиболее распространенных ЯОА является VHDL. С
помощью этого языка разрабатываются БИС, СБИС и ПЛИС, которые являются базовыми
элементами современных цифровых устройств. Возможности данного языка позволяют
моделировать как отдельные простейшие элементы, такие как триггеры, счетчики,
регистры, так и сложнейшие микросхемы, содержащие сотни и тысячи различных
элементов, что делает VHDL основным инструментом разработчика таких
схем.

2. Краткое описание схемы

Данная схема является частью сигнатурного
сигнализатора, который позволяет  локализовать неисправности с точностью до
элемента в отдельных цифровых блоках элементов и в сложных цифровых системах,
включая микропроцессоры.

Сигнатурный
анализатор (СА) состоит из собственно анализатора (рис.1), который  будет описан в данной работе,
и формирователя тестовых воздействий. СА функционально содержит три узла: сдвиговый
регистр с обратными связями (DD7, DD8, DD13), узел управления (DD1…DD6) и
узел индикации (DD9…DD12, HG1…HG4).

Основа СА
– сдвиговый регистр с обратными связями, выполненный на двух 8-разрядных
универсальных сдвиговых регистрах DD7, DD8. Обрабатываемая двоичная последовательность
суммируется по модулю 2 в сумматоре DD13 с разрядами обратной связи сдвигового
регистра (разряды 7, 9, 12, 16) и подаётся на вход сдвигового регистра.
Информация сдвигается вправо по фронту синхросигналов, приходящих из узла
управления на входы С сдвиговых регистров DD7, DD8.

Узел управления вырабатывает сигналы начальной установки и
синхроимпульсы для сдвиговых регистров СА и формирователя тестовых воздействий.
В узел управления входят генератор импульсов на DD1.1…DD1.3,
распределитель импульсов на DD2, DD4, триггер сброса на DD5.1, DD5.2 и
триггеры DD3.1, DD3.2, DD6.1, формирующие измерительный интервал для
обработки входной двоичной последовательности.

Узел
индикации создан из четырёх дешифраторов DD9…DD12 и 7-сегментных индикаторов HG1…HG4.

Рис.1.
Схема сигнатурного анализатора.

3. Моделирование элементов схемы

3.1. Микросхема К133ЛН1

Логический
элемент НЕ серии ТТЛ К133ЛН1 выполняет логическую функцию НЕ.

Рис. 2. Элемент НЕ.

Логическая функция элемента: Y =   X.

Таблица
1. Значения функции  НЕ.

Y

Н

В

В

Н

Текст моделирующей программы.

Обозначение
портов показано на рис. 2, вейвформы на рис. 3.

—  File: K133LN1.vhd

—  created by Design Wizard:
04/17/05 16:31:07

-library IEEE;

use IEEE.std_logic_1164.all;

entity K133LN1
is

port (

X: in STD_LOGIC;

Y: out STD_LOGIC

);

end K133LN1;

architecture K133LN1 of
K133LN1 is

begin

process(x)

begin

y<=not x after 18 ns;

end process; 

end K133LN1;

Рис.3. Работа элемента НЕ

3.2.
Микросхема К133ИЕ5

Микросхема К133ИЕ5 является четырехзарядным,
асинхронным счетчиком пульсаций. Его обозначение  показано на рис. 2.  Режим
работы соответствует таблице 2. Если микросхема К133ИЕ5 применяется как
счетчик-делитель на 16, необходимо соединить выводы 1 и 12. При этом
последовательность счета от 0 до 15 ( т.е. последовательность смены логических
уровней на выходах Q0 – Q3) будет соответствовать таблице 3.

Таблица
2. Режим работы счетчика К133ИЕ5.

Вход сброса

Выход

R1

R2

Q0

Q1

Q2

Q3

B

H

B

H

B

B

H

H

H

H

H

H

Счет

Таблица
3. Последовательность счета К133ИЕ5.

Счет

Выход

Счет

Выход

Q0

Q1

Q2

Q3

Q0

Q1

Q2

Q3

1

2

3

4

5

6

7

H

B

H

B

H

B

H

B

H

H

B

B

H

H

B

B

H

H

H

H

B

B

B

B

H

H

H

H

H

H

H

H

8

9

10

11

12

13

14

15

H

B

H

B

H

B

H

B

H

H

B

B

H

H

B

B

H

H

H

H

B

B

B

B

B

B

B

B

B

B

B

B

Рис. 4.
Обозначение счетчика К133ИЕ5.

Текст
моделирующей программы.

Обозначение
портов показано на рис. 4, вейвформы на рис. 5, 6

—  File: K133IE5.vhd

—  created by Design Wizard:
04/27/05 13:00:34

-library IEEE;

use IEEE.std_logic_1164.all;

use
IEEE.std_logic_unsigned.all;

entity counter is

port (

C2: in STD_LOGIC;

R0: in STD_LOGIC;

R1: in STD_LOGIC;

Q: out STD_LOGIC_VECTOR
(3 downto 0)

);

end counter;

architecture K133IE5of K133IE5
is

begin

process(C2,R0,R1) is

variable X:
STD_LOGIC_VECTOR (3 downto 0):=»0000″;

begin

if R0=’1′ and R1=’1′ then

Q<=transport
«0000» after 26 ns;

elsif C2=’0′ and
C2’DELAYED=’1′ and X<15 then

X:=X+1;

end if;

Q<=transport X after
26 ns;    

end process; 

end K133IE5;

Рис. 5.
Сброс счетчика К133ИЕ5.

Рис. 6.
Счетчик К133ИЕ5 в режиме счета.

3.3. Микросхема К133ТМ2

Рис.7.
Обозначение триггера К133ТМ2.

Микросхема К133ТМ2 серии ТТЛ  содержит два
независимых D-триггера. У каждого триггера есть входы R,D, C,S , а
также выходы Q, Q. Логика работы микросхемы показана в таблице.

Таблица 4. Состояния триггера К155ТМ2.

Режим работы

вход

выход

S

R

C

D

Q

Q

установка

Н

В

X

X

В

Н

сброс

В

Н

X

X

Н

В

Неопределён-

ность

Н

Н

X

X

В

В

Загрузка 1

В

В

В

В

Н

Загрузка 0

В

В

Н

Н

В

Текст моделирующей программы программы.

Обозначение
портов показано на рис. 7, вейвформы на рис. 8.

— 
File: K133TM2.vhd

— 
created by Design Wizard: 04/17/05 17:11:23

-library
IEEE;

use
IEEE.std_logic_1164.all;

entity
K133TM2 is

port (

S: in STD_LOGIC;

C: in STD_LOGIC;

D: in STD_LOGIC;

R: in STD_LOGIC;

Q: out STD_LOGIC;

Q1:out STD_LOGIC

);

end
K133TM2;

architecture
K133TM2 of K133TM2 is

begin

process
(s,r,c,d)

variable
q0,a: std_logic;

begin

a:=’1′;

if
R=’1’and S=’0′ and (C=’1′ or C=’0′)and (D=’1′ or D=’0′)then q0:=’0′;

else

if
R=’0′ and S=’1′ and (C=’1′ or C=’0′)and (D=’1′ or D=’0′) then q0:=’0′;

else

If
R=’1′ and S=’1′ then

if C’event and C=’1′ and D=’1′ then q0:=’1′;

else

if C’event and C=’1′ and D=’0′ then q0:=’0′;

end if;

end if;

else

if
R=’0′ and S=’0’and(C=’1′ or C=’0′)and (D=’1′ or D=’0′) then q0:=a;   

end
if;

end
if;

end
if;

end
if;

q
<= q0 after 32 ns;

a
:= q0;

q1<=not(q0)
after 32 ns;      

end
process;

end
K133TM2;

Рис.8. Работа
триггера К133ТМ2

Рейтинг
( Пока оценок нет )
Editor
Editor/ автор статьи

Давно интересуюсь темой. Мне нравится писать о том, в чём разбираюсь.

Понравилась статья? Поделиться с друзьями:
Электронная память
Добавить комментарий

;-) :| :x :twisted: :smile: :shock: :sad: :roll: :razz: :oops: :o :mrgreen: :lol: :idea: :grin: :evil: :cry: :cool: :arrow: :???: :?: :!: